Lam Research

Page protected with pending changes
Source: Wikipedia, the free encyclopedia.

Lam Research Corporation
Company typePublic company
IndustrySemiconductors
Founded1980; 44 years ago (1980)
FounderDavid K. Lam
HeadquartersFremont, California, U.S.
Key people
Tim Archer
(CEO and President)
Abhi Talwalkar
(Chairman)
ProductsSemiconductor manufacturing products
RevenueIncrease US$17.4 billion (2023)
Decrease US$5.17 billion (2023)
Decrease US$4.51 billion (2023)
Total assetsIncrease US$18.8 billion (2023)
Total equityIncrease US$8.21 billion (2023)
Number of employees
c. 17,200 (2023)
Websitelamresearch.com
Footnotes / references
Financials as of June 25, 2023.[1]

Lam Research Corporation is an American supplier of wafer-fabrication equipment and related services to the semiconductor industry.[2] Its products are used primarily in front-end wafer processing, which involves the steps that create the active components of semiconductor devices (transistors, capacitors) and their wiring (interconnects). The company also builds equipment for back-end wafer-level packaging (WLP) and for related manufacturing markets such as for microelectromechanical systems (MEMS).

Lam Research was founded in 1980 by David K. Lam and is headquartered in Fremont, California.[3] As of 2018, it was the second largest manufacturer in the Bay Area, after Tesla.[4]

History

Lam Research was founded in 1980 by David K. Lam, a Chinese-born engineer who had previously worked at Xerox, Hewlett-Packard, and Texas Instruments.[5] It was while he was at Hewlett Packard that he saw the need for better plasma etching equipment, to keep up with the rapid miniaturization of semiconductor wafers.[5] He credited Bob Noyce, founder of Intel, for assisting him in getting funding by ensuring his business plan made sense.[5]

In 1981, the company introduced its first product, the AutoEtch 480, an automated polysilicon plasma etcher. The name AutoEtch was chosen to convey that the etcher was automated, while the 80 in 480 came from 1980, the year the company was founded.[6] The first system was sold in January 1982.[7] In 1982, Roger Emerick was appointed CEO.[8]

In May 1984, the company issued an initial public offering and was listed on Nasdaq under the symbol LRCX.[9] In 1985, David Lam left the company to join Link Technologies, which eventually was bought by Wyse[10] and is now Dell Wyse.[11] In the mid-1980s, Lam Research continued its global expansion, concentrating on Taiwan and also opening customer support centers throughout Europe, the United States and Japan.[11]

By the early 1990s, the company had a presence in China, Korea, Singapore, and Taiwan.[11] In March 1997, the company purchased OnTrak Systems Inc., a chip equipment manufacturer that specialized in chemical-mechanical planarization (CMP) cleaning, for $225 million.[12] CMP cleaning is a hybrid process to smooth surfaces using both etching and mechanical polishing. In August 1997, the company named OnTrak's CEO Jim Bagley as its CEO.[11][13] In 1998, Bagley was named chairman of the board.[13]

In 2005, Steve Newberry was appointed as CEO.[14] In 2006, Lam Research acquired Bullen Semiconductor, now Silfex, Inc.[15] In 2008, Lam Research acquired SEZ AG,[16] now Lam Research AG.[17] In 2011, Lam Research agreed to buy San Jose, California chip equipment manufacturer Novellus Systems, for $3.3 billion.[18] The deal was completed in June 2012.[19] In 2012, Martin Anstice was appointed as CEO.[14] In October 2015, Lam Research announced plans to buy Milpitas, California-based wafer inspection equipment vendor KLA-Tencor for $10.6bn, in what was viewed as a semiconductor industry consolidation move.[20] In June 2016, it was announced that Lam Research had joined the Fortune 500 for the first time.[21] In October 2016, the company announced it had terminated its offer for KLA-Tencor amidst concerns that the deal would not meet regulatory approval from the U.S. Department of Justice[22] over antitrust concerns.[23]

In September 2017, the company announced the acquisition of Cary, North Carolina-based chip simulation firm Coventor. The company's software reportedly would allow Lam to reduce the time to market for its new chips.[24] In November, the company launched Lam Research Capital (Lam Capital), a venture capital group chartered to invest in companies.[25]

In January 2018, the company announced that COO Tim Archer was being promoted to president, and CEO Martin Anstice remained in the CEO role.[26]

In December 2018, Martin Anstice resigned as CEO due to allegations of personal misconduct, and the Board of Directors of Lam Research named Tim Archer as president and chief executive officer.[27] Archer was also appointed to the Board of Directors of Lam Research. Before this appointment, Archer was the company's president and chief operating officer.[28]

In August 2021, Lam opened a manufacturing facility in Batu Kawan, Malaysia to meet the growing demand for wafer fabrication equipment and to work more closely with key customers and supply chain partners.[29]

In November 2022, it was announced Lam had acquired the Salzburg-headquartered, wet processing semiconductor equipment provider, Semsysco GmbH.[30] That same month, Lam also acquired the Texas-based plasma simulation company, Esgee Technologies, Inc.[31]

Products

Lam Research designs and builds products for semiconductor manufacturing, including equipment for thin film deposition, plasma etch, photoresist strip, and wafer cleaning processes. Throughout semiconductor manufacturing, these technologies help create transistors, interconnects, advanced memory, and packaging structures. They are also used for applications in related markets like microelectromechanical systems (MEMS) and light-emitting diodes (LEDs).[1]

Thin film deposition

Lam's thin film deposition systems lay down the sub-microscopic layers of conducting (metal) or insulating (dielectric) materials that make up an integrated circuit. The processes require uniformity at the nanoscale level.[32]

The company employs electrochemical deposition (ECD) and chemical vapor deposition (CVD) technologies to form copper and other metal films for conducting structures.[33][34] Atomic layer deposition (ALD) is also used for tungsten metal films in features like contacts and plugs, which are vertical connections between metal lines in multilevel interconnect chip designs.

Plasma-enhanced (PE) CVD and ALD technologies create dielectric films for a wide range of insulating parts. For gapfill processes, which require depositing dielectric material into narrow spaces, Lam uses high-density plasma (HDP) CVD technology. PECVD and ALD are also used to form hardmasks, layers that can be removed to improve circuit patterning processes.[citation needed]

Plasma etch

Lam Research uses proprietary technology in its equipment for plasma etch,[35] the process of selectively removing materials from the surface of a wafer to create the semiconductor device's features and patterns. The equipment helps chip manufacturers carve small parts such as those needed for the latest multiple patterning sequences, transistors, and advanced memory structures, which involve increasingly complex film stacks and ever higher aspect ratio structures.

The company uses reactive ion etch (RIE) and atomic layer etching (ALE) to shape a variety of conductive and dielectric features.[35] The company's deep RIE technologies help create structures for applications like MEMS and through-silicon vias (TSVs).

Photoresist strip

Lam's dry strip systems use plasma technology to selectively remove the photoresist mask following a range of front-end wafer processing and advanced packaging applications.[36]

Wafer cleaning

Lam Research's wet spin clean and plasma-based bevel clean products remove particles, residues, and films from the wafer surface before or after adjacent processes.[37]

The company's spin wet clean technology is used between chip-processing steps to remove yield-limiting residues and defects. Lam's bevel clean technology directs a plasma at the very edge of the wafer to clean unwanted particles, residues, and films. If not removed, these materials can impact yield if they flake off and re-deposit on the device area during subsequent manufacturing steps.

See also

References

  1. ^ a b "Lam Research Corporation FY2023 Annual Report (Form 10-K)". U.S. Securities and Exchange Commission. 15 August 2023.
  2. ^ "LAM RESEARCH CORP (LRCX:NASDAQ GS): Company Description". Bloomberg L.P. Retrieved 19 May 2016.
  3. ^ "Lam Research on the Forbes America's Largest Public Companies List". Forbes. Retrieved 10 May 2018.
  4. ^ "Largest Manufacturers in the Greater Bay Area". San Francisco Business Times. 10 August 2018. Retrieved 6 May 2023.
  5. ^ a b c "Oral History: David K. Lam" (PDF). Computer History Museum. 21 January 2004. Retrieved 9 August 2023.
  6. ^ "SEMI Oral History Interview". stanford.edu. 24 July 2007. Archived from the original on 11 November 2013. Retrieved 12 May 2016.
  7. ^ "Lam Research Corporation – 10-K". lamresearch.com. 18 September 1996. Retrieved 12 May 2016.
  8. ^ "Executive Profile – Roger D. Emerick". Bloomberg L.P. Retrieved 2 June 2016.
  9. ^ "Small Company Initial Public Offerings: May 1984". Inc. August 1984. Retrieved 10 March 2022.
  10. ^ "PCs Trigger New Response". Computerworld. 11 January 1988. Retrieved 12 May 2016.
  11. ^ a b c d "Lam Research Corporation History". fundinguniverse.com. Retrieved 12 May 2016.
  12. ^ "Lam Research Agrees to Acquire OnTrak". Los Angeles Times. 25 March 1997. Retrieved 12 May 2016.
  13. ^ a b "lam research corp (LRCX:NASDAQ GS)". Bloomberg L.P. Retrieved 12 May 2016.
  14. ^ a b "Lam's Newberry to resign CEO post". eetimes.com. 8 September 2011. Retrieved 3 June 2016.
  15. ^ "Company Overview of Silfex, Inc". Bloomberg L.P. Retrieved 3 June 2016.
  16. ^ "Lam buys SEZ for $568 million". eetimes.com. 11 December 2007. Retrieved 3 June 2016.
  17. ^ "LAM Research AG". ecsel-austria.net. Retrieved 3 June 2016.
  18. ^ "Lam Research Agrees to Buy Novellus Systems for $3.3 Billion". Bloomberg L.P. 15 December 2011. Retrieved 12 May 2016.
  19. ^ "Lam Research (LRCX) Completes Acquisition of Novellus Systems (NVLS)". streetinsider.com. 4 June 2012. Retrieved 12 May 2016.
  20. ^ "Lam Buys KLA-Tencor as Chip-Sector Deals Mount". The Wall Street Journal. 21 October 2015. Retrieved 13 May 2016.
  21. ^ "Here Are The 15 New Companies Joining The Fortune 500". Fortune. 6 June 2016. Retrieved 7 June 2016.
  22. ^ "Chip equipment maker Lam Research calls off KLA-Tencor deal". Reuters. 5 October 2016. Retrieved 6 October 2016.
  23. ^ Mattioli, Dana; Benoit, David (19 January 2017). "Activist Investor Presses Rudolph Technologies, Nanometrics to Merge". The Wall Street Journal. New York. Retrieved 23 January 2017.
  24. ^ "Lam Buys Simulation Software Firm Coventor". eetimes.com. 1 September 2017. Retrieved 8 June 2018.
  25. ^ "The Week In Review: Manufacturing". semiengineering.com. 17 November 2017. Retrieved 8 June 2018.
  26. ^ "BRIEF-Lam Research Promotes Tim Archer To President". Reuters. 24 January 2018. Retrieved 8 June 2018.
  27. ^ "Lam Research Corporation Announces Martin Anstice Resigns as Chief Executive Officer; Tim Archer Appointed as President and Chief Executive Officer | Lam Research Corporation".
  28. ^ "Lam Research CEO Martin Anstice Resigns". Business Insider. 12 May 2018. Retrieved 6 December 2018.
  29. ^ PRNewswire, Staff Writer (4 August 2021). "Lam Research Strengthens Global Manufacturing Network by Opening Its Largest Manufacturing Facility in Malaysia". Yahoo Finance. PRNewswire.
  30. ^ "Evertiq - Lam Research acquires SEMSYSCO". evertiq.com. Retrieved 16 November 2022.
  31. ^ "Reynolds Advisory Partners Acts as Exclusive Financial Advisor to Esgee Technologies on Its Sale to Lam Research". www.businesswire.com. 23 January 2023. Retrieved 18 February 2023.
  32. ^ "The Week In Review: Manufacturing". semiengineering.com. 11 April 2014. Retrieved 19 May 2016.
  33. ^ "Tool Order: Novellus ships 300th SABRE ECD system". fabtech.com. 23 September 2008. Retrieved 13 May 2016.
  34. ^ "Dealing With Atoms". semiengineering.com. 13 July 2015. Retrieved 19 May 2016.
  35. ^ a b "Atomic Layer Etch now in Fab Evaluations". semimd.com. 4 August 2014. Archived from the original on 15 July 2017. Retrieved 3 June 2016.
  36. ^ "Photoresist strip method for low-k dielectrics US 8058178 B1". 15 November 2011. Retrieved 3 June 2016.
  37. ^ "Etch+clean: Lam Research widening scope with SEZ buy". electroiq.com. 11 December 2007. Retrieved 3 June 2016.

External links

  • Official website
  • Business data for Lam Research: