Search results

Results 1 – 20 of 150
Advanced search

Search in namespaces:

There is a page named "Hybrid Physical-Chemical Vapor Deposition" on Wikipedia

View (previous 20 | ) (20 | 50 | 100 | 250 | 500)
  • Thumbnail for Chemical vapor deposition
    Chemical vapor deposition (CVD) is a vacuum deposition method used to produce high-quality, and high-performance, solid materials. The process is often...
    41 KB (4,938 words) - 07:57, 6 June 2024
  • Thumbnail for Hybrid physical–chemical vapor deposition
    Hybrid physicalchemical vapor deposition (HPCVD) is a thin-film deposition technique, that combines physical vapor deposition (PVD) with chemical vapor...
    8 KB (892 words) - 08:54, 8 October 2022
  • (typically referred to as precursors or reactants). In contrast to chemical vapor deposition, the precursors are never present simultaneously in the reactor...
    65 KB (7,388 words) - 23:29, 2 July 2024
  • Thumbnail for Magnesium diboride
    Magnesium diboride (category Chemical articles with multiple compound IDs)
    by the Italian company Edison S.p.A.[citation needed] Hybrid physicalchemical vapor deposition (HPCVD) has been the most effective technique for depositing...
    24 KB (2,617 words) - 17:58, 20 May 2024
  • Chemical beam epitaxy was first demonstrated by W.T. Tsang in 1984. This technique was then described as a hybrid of metal-organic chemical vapor deposition...
    12 KB (1,661 words) - 16:11, 15 February 2024
  • Thumbnail for Perovskite solar cell
    film quality. The vapor phase deposition processes can be categorized into physical vapor deposition (PVD) and chemical vapor deposition (CVD). PVD refers...
    186 KB (21,033 words) - 03:26, 17 June 2024
  • (2013-05-22). "Molecular Layer Deposition of Zircone and ZrO2/Zircone Alloy Films: Growth and Properties". Chemical Vapor Deposition. 19 (4–6): 204–212. doi:10...
    65 KB (8,533 words) - 12:02, 3 June 2024
  • structures is using chemical vapor deposition (CVD), which is best used to form nanowires and comb or tree-like structures. In vapor deposition processes, zinc...
    21 KB (2,686 words) - 17:47, 11 January 2024
  • Thumbnail for Synthetic diamond
    for their production method (high-pressure high-temperature and chemical vapor deposition, respectively). These two processes still dominate synthetic diamond...
    90 KB (10,010 words) - 08:20, 21 June 2024
  • Thumbnail for Graphene
    solid-state supercapacitors based on chemical vapor deposition derived graphene fibers". Physical Chemistry Chemical Physics. 15 (41): 17752–7. Bibcode:2013PCCP...
    262 KB (27,377 words) - 16:39, 5 July 2024
  • irreversible chemical reactions yielding desired composite materials, which may be nanostructured. The metal-organic precursor (A) and co-react vapor (B) are...
    28 KB (3,270 words) - 00:01, 3 June 2024
  • Thumbnail for Zinc oxide
    Zinc oxide (category Articles containing unverified chemical infoboxes)
    films can be produced by chemical vapor deposition, metalorganic vapour phase epitaxy, electrodeposition, pulsed laser deposition, sputtering, sol–gel synthesis...
    73 KB (8,145 words) - 11:09, 8 June 2024
  • semi-solid state and pressure is applied to form the composites. Physical vapor deposition: The fiber is passed through a thick cloud of vaporized metal...
    21 KB (2,482 words) - 10:29, 3 June 2024
  • Thumbnail for Copper indium gallium selenide solar cell
    source for ion beam assisted deposition. Chemical vapor deposition (CVD) has been implemented in multiple ways for the deposition of CIGS. Processes include...
    48 KB (5,616 words) - 14:46, 27 June 2024
  • Thumbnail for Dielectric mirror
    assisted deposition), chemical vapor deposition, ion beam deposition, molecular beam epitaxy, sputter deposition, and sol-gel deposition. Common materials...
    9 KB (1,035 words) - 04:21, 28 June 2024
  • Thumbnail for Nanoparticle deposition
    possible deposition methods include methods utilizing particle self-assembly by solvent evaporation, doctor blade, chemical vapor deposition and transfer...
    9 KB (1,004 words) - 01:27, 30 January 2023
  • Thumbnail for Indium tin oxide
    Indium tin oxide (category Articles containing unverified chemical infoboxes)
    deposited on surfaces by physical vapor deposition. Often used is electron beam evaporation, or a range of sputter deposition techniques. ITO is a mixed...
    37 KB (4,248 words) - 15:54, 2 July 2024
  • Thumbnail for Water
    Water (category Chemical articles having a data page)
    water), vaporization (water to vapor), condensation (vapor to water), sublimation (ice to vapor) and deposition (vapor to ice). Water differs from most...
    165 KB (19,579 words) - 15:31, 6 July 2024
  • Thumbnail for Humidity
    Humidity (category Physical quantities)
    Humidity is the concentration of water vapor present in the air. Water vapor, the gaseous state of water, is generally invisible to the human eye. Humidity...
    68 KB (7,321 words) - 21:06, 20 June 2024
  • Journal of Physical Chemistry C. 121 (45): 25074–25078. doi:10.1021/acs.jpcc.7b06540. Zhou, Chongwu (2013). "Review of Chemical Vapor Deposition of Graphene...
    98 KB (10,670 words) - 10:08, 23 April 2024
View (previous 20 | ) (20 | 50 | 100 | 250 | 500)